您当前的位置:五五电子网电子知识单片机-工控设备源码-程序 列表
源码-程序
更新时间: 03-04

软件编程方法及技巧

 本文主要介绍TIMSP430微控制器软件编程设计中的实践经验和应用技巧。 第一部分讲述基于中断的标准程序流程模式,适用于大多数... [详细]

阅览量:646 分类:源码-程序 评级:  

更新时间: 03-04

混合式调度器C51源代码和相关注释

/*== 1ms时标 混合式调度器(一个抢占式任务,多个合作式任务) 作者:shadow.hu ===*/ #include<reg52.h> #define uchar unsig... [详细]

阅览量:482 分类:源码-程序 评级:  

更新时间: 03-04

基于PIC16F84A单片机编辑LED数码管秒显示器的程序

 源程序pIC07.c是基于PIC16F84A单片机编辑的2位LED数码管秒显示器,其显示方式为0~99秒重复显示。如果读者需要进行实验,可在... [详细]

阅览量:860 分类:源码-程序 评级:  

更新时间: 03-04

单片机简谱程序

#i nclude #i nclude "SoundPlay.h" void Delay1ms(unsigned int count){unsigned int i,j;for(i=0;i for(j=0;j<120;j++);}... [详细]

阅览量:991 分类:源码-程序 评级:  

更新时间: 03-04

一键多义的按键管理程序工作原理

设计采用高性能单片机C8051F020为控制芯片,监控示波器面板上40个按键、3个编码开关及4个电位器的状态。分别介绍了键盘、编码开... [详细]

阅览量:328 分类:源码-程序 评级:  

更新时间: 03-04

一种0-24小时的时钟源程序

 该程序可用四位LED显示器进行实时显示。这是一种基本的时钟程序,利用它可以略加修改,即可制成0-12小时或可预置起始时刻的时钟... [详细]

阅览量:631 分类:源码-程序 评级:  

更新时间: 03-04

TA89S51中断服务子程序的设计

 中断系统的运行必须与中断服务子程序配合才能正确使用。设计中断服务子程序需要首先明确以下几个问题。  中断服务子程序设计... [详细]

阅览量:392 分类:源码-程序 评级:  

更新时间: 03-04

Keil C51精确延时程序设计

本文针对C语言代码的执行时间的可预见性差,结合Keil C51开发工具,分析了在Keil C51开发工具中利用C语言实现精确的延时程序的设... [详细]

阅览量:747 分类:源码-程序 评级:  

更新时间: 03-04

VHDL语言应用实例指导

 VHDL中的标识符可以是常数、变量、信号、端口、子程序或参数的名字。使用标识符要遵守如下法则: ·标识符由字母(A…... [详细]

阅览量:127 分类:源码-程序 评级:  

更新时间: 03-04

经典常用的单片机c程序

//16进制<->10进制互换程序 unsigned char d[10]; //用于显示的10位显示缓存 // //16进制to10进制输出子程序:显示数据,起始位... [详细]

阅览量:470 分类:源码-程序 评级:  

更新时间: 03-04

PLC中定时器的延时启动程序

 PLC中定时器延时接通就是当开关接通时,需要延迟一定的时间,才有输出信号。实现延时接通功能的梯形图程序如下左图所示。在图中... [详细]

阅览量:348 分类:源码-程序 评级:  

更新时间: 03-04

外扩存储器电路的工作原理及软件设计

 1.单片机片外程序区读指令过程  当接通电源且单片机上电复位后,程序计数器PC-OOOOH,CPU就从OOOOH地址开始取指令,执行程序... [详细]

阅览量:805 分类:源码-程序 评级:  

更新时间: 03-04

STM32 矩阵键盘扫描程序

#define __JUZHENJIANPAN_H extern u8 KeysCAN(void); extern void GPIO_Config(void); #endif #include "stm32f10x.h" #i... [详细]

阅览量:599 分类:源码-程序 评级:  

更新时间: 03-04

状态机在单片机程序设计中的应用

状态机的概念 状态机是软件编程中的一个重要概念。比这个概念更重要的是对它的灵活应用。在一个思路清晰而且高效的程序中,必然... [详细]

阅览量:431 分类:源码-程序 评级:  

更新时间: 03-04

单片机端USB接口数据上传源程序

 该源程序采用C语言编制,用C51的keil-8.08编译器编译,文件名:USBsvg.c。程序中大量使用了USB_api单片机端的函数库。所以在编... [详细]

阅览量:816 分类:源码-程序 评级:  

更新时间: 03-04

一个stc89c52单片机下的矩阵键盘程序

下面是一个stc89c52单片机下的矩阵键盘程序,P0口接键盘,显示在P2口. #include<reg52.h> #define uchar unsigned char #defin... [详细]

阅览量:753 分类:源码-程序 评级:  

更新时间: 03-04

采用Excel中的VBA编写卡方测算相关程序

 在生命科学及社会科学研究中,卡方(χ2)检验是最常用的统计分析方法之一[1-8]。卡方(χ2)检验是次数资料的显著性检... [详细]

阅览量:376 分类:源码-程序 评级:  

更新时间: 03-04

中断触发定时器:99秒计时+99秒倒计时

___________________________________________ 功能:99秒计时 时间2010—7—18 _______________________________... [详细]

阅览量:216 分类:源码-程序 评级:  

更新时间: 03-04

S12单片机模块应用及程序下载调试

 本次智能车邀请赛采用的MC9S12DG128(以下简称DG128)是Freescale公司推出的S12系列单片机中的一款增强型16位单片机,片内资源丰... [详细]

阅览量:430 分类:源码-程序 评级:  

更新时间: 03-04

Cortex-M3处理器的GPIO实验自学

以前在学校时不知以后会干什么所以什么都学点,感觉什么有用就拿起学学,但是出来以后发现学没有致以用,于是也开始学者老前辈们... [详细]

阅览量:121 分类:源码-程序 评级:  

更新时间: 03-04

基于单片机的音乐程序设计原理

利用单片机(或单板机)奏乐大概是无线电爱好者感兴趣的问题之一。本文从单片机的基本发间实验出发,谈谈音乐程序的设计原理,并... [详细]

阅览量:665 分类:源码-程序 评级:  

更新时间: 03-04

基于P111G-MC1控制印花烘箱的PLC程序

 用P111G-MC1改装的印花用烘箱线路,经过不断改进程序,运行良好。现附上流程图、源程序,供参考。  一、线路连接及运行原理:... [详细]

阅览量:369 分类:源码-程序 评级:  

更新时间: 03-04

定时器/计数器方式2的编程和应用

 方式2是一个可以自动重新装载初值的8位计数器/定时器。这种工作方式可以省去用户程序中重新装入初值的指令。  当AT89S51的某... [详细]

阅览量:864 分类:源码-程序 评级:  

更新时间: 03-04

串口调试程序

/*定义为中断方式串口处理*/#define INTERSENDSTR/*不为8032系列芯片 */ //#define Chip_8032#i nclude <absaCC.h>#i nclude ... [详细]

阅览量:140 分类:源码-程序 评级:  

更新时间: 03-04

电子时钟的配套c程序

/*--声明: ①使用共阳数码管,选位码12、9、8、6接P3^0 ~ P3^3,如0xaa=1010 1010,左至右为0101 ②中间两小数点,点亮选3、... [详细]

阅览量:418 分类:源码-程序 评级:  

更新时间: 03-04

一种比较实用的定时程序的简单的设计方法探讨

 在单片机的控制应用中,常有延时的需要。使用汇编语言可以编制能够精确控制延时时间的程序,但参数计算却比较复杂。延时参数的... [详细]

阅览量:366 分类:源码-程序 评级:  

更新时间: 03-04

P111G型可编程序控制器新增显示指令介绍

 PLLlG可以显示某一内存单元的数值,显示的最大数值为255,但要显示255的值应如何办呢?比如加工零件计数,不可能总是在255以内... [详细]

阅览量:809 分类:源码-程序 评级:  

更新时间: 03-04

AT89S51多外部中断源系统设计

 AT89S51为用户提供两个外部中断请求输入端INT0(的反)和INT1(的反),实际的应用系统中,两个外部中断请求源往往不够用,需对... [详细]

阅览量:991 分类:源码-程序 评级:  

更新时间: 03-04

基于STC12C56系列单片机的AD转换C程序

/ STC12c56 AD转换 入口参数:通道数(0,1,2,3,4,5,6,7) 返回值: AD转换结果 */ #include<reg51.h> sfr ADC_CONTR=0xc5; ... [详细]

阅览量:760 分类:源码-程序 评级:  

更新时间: 03-04

用单片机的串口驱动74LS165

 串行口是单片机与外界进行信息交换的工具, 利用单片机串口实现输入移位寄存器,只需用软件置REN=1(同时RI=0),即开始接收。... [详细]

阅览量:303 分类:源码-程序 评级:  

总数:26330 上一页1 ...4 5 6 7 8下一页