您当前的位置:五五电子网电子知识单片机-工控设备DSP/FPGA技术 列表
DSP/FPGA技术
更新时间: 03-04

称重控制器设计中的DSP实现

 1 引言 本设计针对玻璃配料过程中存在的称重精度不高, 自动化程度不高等问题, 采用了高性能数字信号处理器TMS320F2812(F28... [详细]

阅览量:430 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA和DSP的雷达模目信号设计

摘要:在雷达信号处理分系统调试时,经常用到模目信号。为了获得实时多波束雷达模目信号,提出一种基于FPGA和DSP的产生方法,利... [详细]

阅览量:498 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

SRL和触发器在配置后正确初始化的方法

 疑问描述 FPGA 架构中的 SRL16 和触发器是通过 GWE(全局写使能)信号来释放的,该信号允许这些同步元件在配置完成后改变状态... [详细]

阅览量:105 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

提高DSP代码运行性能的研究

 1 引言 随着微控制器技术的发展,以TI公司TMS320C2000系列为代表的DCS型数字信号处理器 DSP 应用广泛。该类型DSP内部集成... [详细]

阅览量:481 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

嵌入式DSP上实现FlexRay总线的方法

 引 言  FlexRay总线 是最近推出的一种采用点对点(星型拓扑结构)连接,借助无屏蔽或屏蔽双绞线电缆的先进高速串行同步和异... [详细]

阅览量:853 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

VGA图像控制器的CPLD/FPGA设计与实现

 利用可编程器件CPLD/FPGA实现VGA彩色显示控制器在工业现场中有许多实际应用。以硬件描述语言VHDL对可编程器件进行功能模块设计... [详细]

阅览量:998 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的IEEE 1394b高速数据传输系统

摘要:介绍了IEEE 1394h串行总线的特点,并以FPGA嵌入式处理器Nios II为控制核心,设计实现了一种1394b高速数据传输系统。阐述了... [详细]

阅览量:807 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的可编程电阻的设计

摘要:现在市场上的各种电阻和电阻箱有不足之处,不能满足一些研发场所的要求,为了解决这一问题,本文介绍一种基于FPGA的可直接... [详细]

阅览量:397 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于PCI接口的高速数字信号处理板卡的设计

随着数字信号处理器(DSP)及其外围支持芯片性能的提高,软件无线电已经得到广泛应用,大大增强了实时信号处理系... [详细]

阅览量:405 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的低压差分信号内核设计及其外围电路设计

低压差分信号LVDS(Low Voltage Differential Signal)是由ANSI/TIA/EIA-644-1995定义的用于高速数据传输的物理层接口标准。它具... [详细]

阅览量:999 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于DSP的2路CDMA无线网络视频监控方案

一、华恒HH2CDMA-R1产品概述: 华恒HH2CDMA-R1无线网络视频产品内置两块CDMA 1X无线上网模块的数字摄像系统,它集视频采集、实... [详细]

阅览量:957 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

利用优化的DSP加快无线基础设施的设计

 为基站定制的数字信号处理器以及为针对无线通信设施( CI )设计的其它类型系统定制的数字信号处理器通常是市场中速度最快且... [详细]

阅览量:180 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的数据采集总线MCMB在机载数据采集系统中的设计

本文针对现在对机载数据采集系统中总线技术的要求,采用ALTEra公司的CycloneIII系列FPGA EP3C40F484,在数据采集系统中实现了自... [详细]

阅览量:801 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

在FPGA中实施4G无线球形检测器

 WiMAX 对宽带互联网接入如同手机对语音通信一样意义非凡。它可以取代 DSL 和有线服务,为您随时随地提供互联网接入。您只需... [详细]

阅览量:693 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

用单片机和CPLD实现步进电机的控制

  步进电机 是一种将脉冲信号转换成角位移的伺服执行器件。其特点是结构简单、运行可靠、控制方便。尤其是步距值不受电压、... [详细]

阅览量:226 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

FPGA将在4G无线网络中扮演突出角色

 基站市场将会如何演化 即将到来的3G CDMA2000和WCDMA/GSM 无线网络 标准向 4G LTE (Long Term Evolution,长期演进)的融... [详细]

阅览量:807 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

结构化ASIC中再生FPGA异步逻辑路径

由于与深亚微米标准单元ASIC相关的非重复性工程费用(NRE)越来越大,设计周期又很长,因此利用结构化ASIC进行定制IC设计的吸引力... [详细]

阅览量:847 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

CPLD/FPGA初学者入门必看

选择VHDL还是verilog HDL?这是一个初学者最常见的问题。其实两种语言的差别并不大,他们的描述能力也是类似的。掌... [详细]

阅览量:177 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于DSP与双目CMOS摄像头的数字图像处理系统

摘 要:介绍了基于浮点DSP处理器与双CMOS摄像头的数字图像采集处理系统,探讨了系统的基本原理和设计方法,并给出... [详细]

阅览量:745 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的视频应用OSD设计

近年来,数字视频监控系统在银行、高速公路、楼宇等各个领域取得了广泛的应用。在数字视频监控系统中,OSD(On Screen Display)技... [详细]

阅览量:669 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

DSP与数据转换器协同工作所必须考虑的10大因素

假设您接到一项工作任务,设计一套由 DSP 与DAC与ADC等模拟器件组成的信号处理系统。如果您考虑到几个重要因素,工... [详细]

阅览量:352 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

FPGA Editor应用技巧

 工程师在设计过程中,经常需要一定的创造力(你不妨称之为数字管道胶带)才能够保证设计的顺利完成。过去8年时间里,我曾经... [详细]

阅览量:681 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于DSP的TETRA话音编码设计与实现

摘 要:陆上集群无线电通信(TETRA)系统是欧洲电信标准协会(ETSI)在专用移动通信(PMR)和公共接入移动通信(... [详细]

阅览量:673 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

FPGA在工业以太网交换机中扮演SoC角色

 中国的 工业 以太网 产业发展近期已经明显有快速启动的趋势,诸多工控主板制造商和工业电脑厂商都看到了这个契机,纷纷发布... [详细]

阅览量:149 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的DES、3DES硬件加密技术

 传统的加密工作是通过在主机上运行加密软件实现的。这种方法除占用主机资源外,运算速度较慢,安全性也较差。而 硬件加密 ... [详细]

阅览量:198 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于DSP的车辆视频处理系统的研究与实现

引言 目前,交通监控应用系统大多以紧急报警、车辆定位与语音通信为主,图像方面的应用不多。本文正是基于这样的考虑,设... [详细]

阅览量:725 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

以智能型混合信号FPGA开发真正符合需求的系统

 单芯片系统对嵌入式系统设计师来说,往往会随着其面对的不同的系统设计而各有不同。例如,在庞大的娱乐或通信消费产品市场... [详细]

阅览量:307 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于MSP430F449的数据存储和USB串行通信实现

 在数据采集设备以及具有相关功能的仪器设计中,数据的存储和传输是一个非常重要的环节,本文在成功实践的基础上,介绍微控... [详细]

阅览量:783 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

消除影响JESD204B链路传输的因素

 JESD204B串行数据链路接口针对支持更高速转换器不断增长的带宽需求而开发。作为第三代标准,它提供更高的通道速率最大值(每通... [详细]

阅览量:601 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

利用直接时钟控制技术实现存储器接口数据采集

 提要 本应用指南介绍了在 VirtexTM-4 器件中实现 存储器 接口的直接时钟控制 数据采集 技术。直接时钟控制方案利用了 Vi... [详细]

阅览量:876 分类:DSP/FPGA技术 评级:  

总数:312930 上一页1 ...100 101 102 103 104 105下一页