您当前的位置:五五电子网电子知识单片机-工控设备DSP/FPGA技术 列表
DSP/FPGA技术
更新时间: 03-04

FPGA在平板显示器中的应用

  平板 电视是增长最快的一类新型消费电视。电视市场上的平板 显示器 有三种类型:LCD、等离子和背投(DLP)。     凭借较... [详细]

阅览量:507 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于DSP的地下微水检测系统

 微水试验法是一种瞬时向井中注入或抽取一定量的水,通过观测井水位变化情况,求得井附近含水层渗透系数的方法。对于渗透性较高... [详细]

阅览量:693 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

可编程计算机控制器pcc模块的开发

1 引言 随着现代计算机技术的发展,可编程控制器有了长足的发展。1968年,美国最大的汽车制造商gm公司为了自身汽车工业的跨越式... [详细]

阅览量:787 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于DSP+CPLD 的有源电力滤波器控制系统的设计

摘 要:为了解决三相四线制电网中谐波、无功功率和三相不平衡等电能质量问题,本文采用基于 DSP +CPLD 全数字控制的并联型有源电力... [详细]

阅览量:554 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

自动售货机控制模块VHDL程序设计及FPGA实现

 近年来,随着集成电路技术的迅猛发展,特别是可编程逻辑器件的高速发展, EDA(EleCTRonIC Design Automation,电子设计自动化... [详细]

阅览量:323 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的高速定点FFT算法的实现

 引 言 快速傅里叶变换( FFT )作为计算和分析工具,在众多学科领域(如信号处理、图像处理、生物信息学、计算物理、应用数... [详细]

阅览量:583 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

一种低成本汉字液晶显示的实现方法

在智能仪表等小型单片机应用系统中,一般采用发光二极管作功能提示,数码管 显示 数据。随着智能仪表功能的不断扩充和提高,... [详细]

阅览量:577 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于Matlab/DSP Builder任意波形信号发生器的两种设计

引言 在当今的科技信息社会中,尤其是在电子设计和信号测试系统中,通常需要一些复杂、特定频率的信号,通常的信号发生器难以满... [详细]

阅览量:459 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的IRTG-B码编解码器的设计与实现

摘要:为提高整个系统时间的同步精度,以便为测量设备提供可靠的时间信息和标准频率信号,给出了一种基于FPGA的IRIG-B编解码器的... [详细]

阅览量:783 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

关于C6000DSP的ROM加载

1、TI的资料上的说明如下: 位于CE1空间的ROM中的代码首先通过DMA/EDMA被搬入地址0处。加载过程在复位信号撤消之后... [详细]

阅览量:843 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

一种新型多DSP并行处理结构

传统的雷达信号处理系统的设计是根据具体的需求确定算法流程以及硬件结构的。这导致了系统升级的困难加大。当信号... [详细]

阅览量:455 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

TMS320F206与CPU卡通信协议的优化

 1 硬件电路  TMS320F206 (简称F206)有1个通用输入引脚BIOS和1个通用输出引脚XF,此外还有IO0、IO1、IO2和IO3引脚,分别对... [详细]

阅览量:544 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

TMS320C54XX系列DSP与PC机间串行通信的实现(二)

系统错误,请联系管理员。 [详细]

阅览量:116 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于DSP和ST7920的液晶显示模块的实现

  DSP 是一种适合于数字信号处理的实时高速的高性能微处理器,已广泛应用于自动控制、图像处理、通信技术、网络设备、仪器、... [详细]

阅览量:224 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

功率与性能:DSP设计面临的终极挑战

 多年来,数字信号处理器 ( DSP ) 设计人员一直在应付这样一项艰难的工作:提供占用空间小的高 性能 芯片,而且要不影响灵活... [详细]

阅览量:435 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于CPLD的对多DSP和FPCA芯片实现程序远程更新、加载的设计方法

内容摘要:介绍了一种以CPLD为基础的对多DSP和FPCA芯片实现程序远程更新、加载的设计方法。详细分析了软硬件架构及具体实施方案... [详细]

阅览量:844 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的电子设计竞赛电路板的设计

引言 全国大学生电子设计竞赛至今已成功举办了八届, 参赛人数越来越多, 竞赛规模越来越大。大学生电子竞赛在培养学生创新能... [详细]

阅览量:176 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于数字信号处理技术DSP、FPGA实现低成本、小体积PET系统

随着医疗成像系统不断追求更高的性能,传统的模拟及分立器件越来越难以满足系统设计的要求,而采用数字信号处理技术DSP、FPGA已... [详细]

阅览量:602 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

TMS320C6201/6701 DSP处理器与FLASH存储器的接口设计(三)

2.2 MBM29LV800BA的主要命令及嵌入式算法MBM29LV800BA的编程写入及擦除命令如表2所示。其中,X为十六进制数字的任... [详细]

阅览量:209 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于PCI总线通用DSP信号处理系统的设计

1 引言 1.1 DSP简介及基本特点 数字信号的处理离不开算法和实现手段。数字信号处理器(digital signal processor简... [详细]

阅览量:573 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

FPGA的高速多通道数据采集控制器IP核设计

摘要 介绍基于FPGA嵌入式系统的多通道高速数据采集模块控制器的IP核设计。采用TI公司的6通道同步采集A/D转换器件(ADS8364),针对... [详细]

阅览量:549 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的PLL频率合成器设计

频率合成技术是现代通信的重要组成部分,它是将一个高稳定度和高准确度的基准频率经过四则运算,产生同样稳定度和准确度的任意频... [详细]

阅览量:100 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

单片机的Proteus虚拟仿真

 1.引言  单片机 体积小,重量轻,具有很强的灵活性而且价格便宜,具有逻辑判断,定时计数等多种功能,广泛应用于仪器仪表,... [详细]

阅览量:711 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的大屏幕LED点阵显示系统设计方案

 LED屏早在60年代就已出现,但直到 90年代中期,才出现了全彩屏,该技术近年的价格已有了很大的降幅,分辨率也有了很大的改善。... [详细]

阅览量:815 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

VHLD实例加法器描述

library IEEE;use IEEE.std_logIC_1164.all;entity adder is port (a : in std_logic; b : in std_logic; ... [详细]

阅览量:239 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于FPGA的UART模块的设计

0 引 言 在计算机的数据通信中,外设一般不能与计算机直接相连,它们之间的信息交换主要存在以下问题: (1)速度不匹配。外设的工... [详细]

阅览量:495 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

电力电子装置控制系统的DSP设计方案

摘 要 以TI公司的电机控制专用芯片TMS320LF2407aDSP为例,介绍电力电子装置中控制系统的硬件设计方案。包括DSP的电平转换、时钟... [详细]

阅览量:453 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

CPLD在IGBT驱动设计中的应用

关键词:PWM CPLD IGBT VHDL 自顶向下 随着国民经济的不断发展,变频调速装置的应用越来越广泛。如何打破国外... [详细]

阅览量:724 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

采用DSP的铁路道口图像监控系统设计

 目前, 铁路道口 基本有这样3种类型:有人看管、有人监护和无人看守监护。长期以来,铁路部门对有人看管道口和有人监护道口... [详细]

阅览量:998 分类:DSP/FPGA技术 评级:  

更新时间: 03-04

基于NiosⅡ软核的车辆牌照识别系统

传统的PC 机+算法设计的车辆牌照识别系统由于体积大,已不能满足便携和露天使用的要求, 因此本文采用Nios Ⅱ软核处理器在FPGA ( 现... [详细]

阅览量:740 分类:DSP/FPGA技术 评级:  

总数:312930 上一页1 ...100 101 102 103 104 105下一页