您当前的位置:五五电子网电子知识电工技术电子技术能自启动扭环形计数器 正文
能自启动扭环形计数器

能自启动扭环形计数器

点击数:7265 次   录入时间:03-04 11:47:25   整理:http://www.55dianzi.com   电子技术

  分析 3 位扭环形计数器状态图,有两个循环圈:六个有效状态组成有效循环,两个无效状态组成无效循环。改变电路结构,重新设计反馈函数。

   ① 反馈函数真值表

      Log_73240.jpg

  由真值表得反馈函数逻辑表达式 
Log_73241.jpg

  若反馈函数真值表改为:


      Log_73242.jpg
  由真值表得反馈函数逻辑表达式  Log_73243.jpg

   ② 
由此说明反馈函数表达式不是唯一的,要求选取最简式

      Log_73244.jpg

   ③ 能自启动扭环形计数器逻辑电路图

     Log_73245.jpg


   ④ 状态图

    Log_73246.jpg


本文关键字:计数器  电子技术电工技术 - 电子技术

上一篇:D 锁存器