您当前的位置:五五电子网电子知识单片机-工控设备源码-程序非同于MCU的独立按键消抖动 正文
非同于MCU的独立按键消抖动

非同于MCU的独立按键消抖动

点击数:7342 次   录入时间:03-04 12:02:40   整理:http://www.55dianzi.com   源码-程序

  end

  else if(cnt_flag)

  begin

  key_flag_r <= 1;

  key_value <= key_data; //locked the data

  end

  else //let go your hand

  key_flag_r <= 0; //lock the key_value

  end

  //---------------------------------------

  //Capture the rising endge of the key_flag

  reg key_flag_r0,key_flag_r1;

  always@(posedge clk or negedge rst_n)

  begin

  if(!rst_n)

  begin

  key_flag_r0 <= 0;

  key_flag_r1 <= 0;

  end

  else

  begin

  key_flag_r0 <= key_flag_r;

  key_flag_r1 <= key_flag_r0;

  end

  end

  assign key_flag = ~key_flag_r1 & key_flag_r0;

  endmodule



上一页  [1] [2] 


本文关键字:暂无联系方式源码-程序单片机-工控设备 - 源码-程序

《非同于MCU的独立按键消抖动》相关文章>>>