您当前的位置:五五电子网电子知识单片机-工控设备DSP/FPGA技术VHLD实例最高优先级编码器 8位相等比较器 正文
VHLD实例最高优先级编码器 8位相等比较器

VHLD实例最高优先级编码器 8位相等比较器

点击数:7170 次   录入时间:03-04 11:50:32   整理:http://www.55dianzi.com   DSP/FPGA技术
library IEEE;   
use IEEE.Std_logIC_1164.all;

entity HCT245 is
   port(A, B : inout std_logic_vector(7 downto 0);
         DIR, GBAR : in std_logic);
end HCT245;

architecture VER1 of HCT245 is
begin
   A <= B when (GBAR = '0') and (DIR = '0') else (others => 'Z');
   B <= A when (GBAR = '0') and (DIR = '1') else (others => 'Z');
end VER1;



本文关键字:编码器  优先级  DSP/FPGA技术单片机-工控设备 - DSP/FPGA技术