您当前的位置:五五电子网电子知识单片机-工控设备单片机通信TDM通信接口在高压直流输电中的具体研究与应用 正文
TDM通信接口在高压直流输电中的具体研究与应用

TDM通信接口在高压直流输电中的具体研究与应用

点击数:7380 次   录入时间:03-04 11:35:11   整理:http://www.55dianzi.com   单片机通信

    本文介绍了基于MACH 2系统的TDM总线原理,并以柔性直流输电工程为背景,论述了TDM通信接口在高压直流输电中的具体研究与应用,详细描述了TDM时分多路复用通信方式的性能,并介绍了TDM通讯的编码形式以及校验方式。TDM通信采用IEEE-754标准编码,具有严格的校验方式,较高的可靠性和正确性。这种在一个传输介质上传输多路数字化信号的技术,在高压直流输电控制系统保护中,具有可靠、快速的特点,能够实现串行通信连接,单方向传输,具有单个发送源,一个或多个接收源。因此,TDM总线系统广泛应用于电力系统控制保护领域。

    高压直流输电技术主要用于大容量、长距离输电或跨区域电网联网、并网方面,近些年取得了显著的进步,它将在我国电网方面起到重要作用。控制系统是高压直流输电工程的核心单元,可以充分发挥直流输电系统的调节性能和适应各种运行方式的需要。MACH 2是Modular Advanced Control HVDC(High Vohage Direct Current)and SVC(StaIIC Var Compensator) 2nd edition的缩写,是一种基于软件和硬件的开发平台,主要用于直流控制保护系统。

1 TDM通信原理
1.1 TDM总线系统
    TDM(Time Division Multiplexer)就足时分多路复用。它将一个时间间隔划分为若干个通道,把不同通道的数据按照预先设定的位置分配时隙(Slot)到一定速率的通路上,每个时隙(Slot)的速率根据时钟信号的频率而定的,每个通道时隙(Slot)的频率,即帧周期为99μs。其中每帧的时隙数即复用的通道数与时钟有关。在MACH 2系统中,主要采用的是TDM总线通信的方式,其结构如图1所示。MACH 2系统主要有两部分组成,一部分是主计算机单元,另一部分是I/O单元。这两部分之间利用DSP实现TDM总线。

a.JPG

   
    一般的,PS860板卡(含一块DSP)用于模拟量信号采集并以TDM方式发送给PS801板卡,由DSP进行数据接收与处理。TDM总线上的传输速率最大可达到15 Mb/s。
1.2 TDM帧结构
    TDM这种通信协议,两个以上的信号或数据流可以同时在一条通信线路上传输,其表现为同一通信通道。但在物理层面上来看,信号还是轮流占用物理通道的。时间域被分成周期循环的一些小段,每段时间长度是固定的,每个时段用来传输一个通道的信息。一个TDM帧包括了若干个通道,当这些通道的信息都传输完毕,会随着帧同步信号开始下一帧数据的传输,这样重复传输每一帧的数据。

b.JPG

   
    TDM传输数据时,首先将通信时间分成一定长度的帧,每帧的长度是固定的(如图2所示)。每一帧又被分成若干个时隙(Slot),即每一帧由若干个时隙(Slot)组成。每帧中的时隙(Slot)是预先分配好的,且这种关系是固定不变。不论是否有数据需要传输,所有时隙(Slot)都会被占用。一般情况,具有N路的输入系统,每帧至少含有N个时隙(Slot)。

c.JPG

   
    从图3 TDM Frame中可以看出,一个TDM总线帧需要3组信号分别用于帧同步(Frame sync)、时钟(CLOCk)和数据(Data)。MACH 2控制系统所采用的TDM通信的两帧之间间隔配置为99μs,每帧数据最大可以配置32个时隙(Slot),其中前31个是数据时隙,最后一个时隙(Slot)是校验和。每个时隙(Slot)的长度是固定的,都是32 b信息。
    MACH 2控制系统对模拟量数据传输时,按照IEEE-754标准编码对数据进行编码。其中,Frame sync是一个宽为100 ns,周期为99μs的脉冲信号,由于每帧的32个时隙(Slot)是已经固定好的,这个脉冲信号也是不变的。随着帧同步信号上升沿的到来,表示每一帧数据的开始,也就是第一个时隙,即Slot 1。时钟信号Clock是一个频率为10.6 MHz的脉冲信号,每次随着其上升沿的到来,表示传输一个b的数据Data(0或1)。
    传送每帧的数据,都会占用这32个时隙(Slot)的长度。其中,前31个时隙(Slot)为数据时隙,可以根据实际需要灵活配置时隙的个数,最大配置为31个时隙(Slot);第32个时隙(Slot)是校验和,根据前面的数据产生的。



www.55dianzi.com



2 校验
   
MACH 2控制系统进行TDM通信时,每帧都有校验,位于这一帧的最后一个时隙(Slot)。校验码是根据每帧前面31个时隙(Slot)的信息产生,为32 b的长度。具体校验方法如下所示:
    TDM通信每帧为32个通道,如图4所示,每个通道上传输32 b的信息。

d.JPG

   
    校验的具体过程如下所示:
    (1)A1=数据Slot 1左循环移1位+1;
    (2)A2=数据Slot 2左循环移2位+2;
    (3)A3=数据Slot 3左循环移3位+3;
    … …
    (30)A30=数据Slot 30左循环移30位+30;
    (31)A31=数据Slot 31左循环移31位+31;
    (32)B=A1+A2+A3+…+A30+A31;
    (33)Checksum=B+C。
    最后,将B的值加上一个随机数C(例如:0x12345678,自己可以定义个数)后得到的值即为校验码和Checksum的值。注意在移位求和的过程中,采用循环移位的方法,也就是如果移位有溢出,把溢出的值放在最低位再进行求和运算,并没有把溢出的值给扔掉。
    如果经计算后得到的Checksum的值为全“1”,即:0xFFFFFFFF或者全“0”,即:0x00000000的形式,那么最终的Checksum的值要经过处理,这里可以根据需要自己定义两个数(例如:0xAABBCCDD,0x5a6b7c8d),这两种情况可以用作特殊的用途。

3 TDM通信在柔性直流输电中的应用
   
柔性直流输电技术是基于电压源换流器(VSC)的新一代直流输电技术,通过控制IGBT的通断来实现子模块投切状态的切换。由于子模块的数量比较多(一个桥臂为384个子模块),需要向阀控系统上传每个子模块的电容电压和状态信息,这些数据量比较大,并且对控制周期有严格的要求,所以采用TDM这种通信方式可以提高传输速率,改善通信质量。
    图5为柔性直流输电阀控系统的结构示意图,从图中可以看出,阀控系统主要有三部分组成:阀控制机箱、桥臂控制机箱和子模块接口单元。阀控制机箱是阀控系统的核心单元,负责对阀控6个桥臂的协调控制,接收极控下发的控制指令,上传阀控系统的运行状态信息、报警、跳闸信息。采用100M光纤高速传输,能够快速上传控制保护信息,完成对阀控保护的功能。

e.JPG

   
    桥臂控制机箱是连接子模块接口单元与阀控制机箱的枢纽,它最多可以连接24个子模块接口单元,主要完成子模块电容电压排序,故障检测的功能;通过一收一发光纤,实现子模块状态信息的上传和控制命令的下发。



www.55dianzi.com


4 测试波形
   
在MACH 2控制系统上,置位4个通道的数据,借助于示波器,在测控机箱上测试Frame svnc,CLOCk,Data这三个信号。测试波形分别如图6,图7所示。

f.JPG

g.JPG

    通过示波器观察,在测控机箱上测得Frame svnc,Clock,Data这三个信号。在这里只给了4个通道数据,图6所示的是相邻两帧的数据,这4个通道的数据依次为0,+1,-1,+1。图7所示的是校验码的信息,它位于每帧的最后一个Slot,长度为32 b信息。经过测试,证明TDM这种通信方式传输数据的正确性以及可靠性。

    5 结语

    TDM作为一种新兴的传输技术,按照预先指定的时间间隙,遵从固定的数据格式对信息进行传输。就某一时刻而言,通道上传送的仅是一路信号而不是多路复用信号;就某一时间段而言,通道上传送的是多路复用信号,也就是时分复用。TDM通信能够提供丰富的数据采集、处理方式,提高了通信系统通道的利用率和时效性,广泛应用于现代化的通信领域。




本文关键字:通信  接口  单片机通信单片机-工控设备 - 单片机通信

《TDM通信接口在高压直流输电中的具体研究与应用》相关文章>>>