您当前的位置:五五电子网电子知识单片机-工控设备DSP/FPGA技术基于FPGA的高频时钟的分频和分配设计 正文
基于FPGA的高频时钟的分频和分配设计

基于FPGA的高频时钟的分频和分配设计

点击数:7864 次   录入时间:03-04 11:51:55   整理:http://www.55dianzi.com   DSP/FPGA技术
clk2x : out std_logic;

clkdv: out std_logic;

locked: out std_logic);

end component;

begin

reset n<=‘0' ;

uibuf : ibufg port map (

i => pclk,

o => clk);

udll: clkdll port map( clkin => clk,

rst => reset_n,

clkfb => clkfb,

clk0 => clk0,

clk90 => open,

clk180 => open,

clk270 => open,

clk2x => clk2x,

clkdv => clkdv,

locked => locked

);

bufg_clk0: bufg port map ( i => clk0,

o=>clk_int2;

);

clkfb<=clk_int2;

process(clk2x);

begin

if clk2x′event and clk2x=′1′ then

clk_int <=clk int2;

clk_int3<= clkdv;

pclk_62(0)<=clk_int;

pclk_62(1)<=clk_int;

pclk_62(31)<=clk_int;

pclk_4(0)<=clk_int3;

pclk_4(1)<=clk_int3;

pclk_4(31)<=clk_int3;

end if;

end process;

end lvds_arch;



上一页  [1] [2] 


本文关键字:高频  DSP/FPGA技术单片机-工控设备 - DSP/FPGA技术